What did Intel introduce at the Innovation 2023 event? Artificial Intelligence technologies left their mark on the night!

Intel continues to expand its product family. The company, which always finds a way to combine current technologies with its products, started to exhibit the latest developments at the third annual innovation event. Our founder Hakkı Alkan personally attended the event, which we, as ShiftDelete.Net, follow closely and watched the event on site. Here are the details of the Intel Innovation Event…

Artificial intelligence is birthing ‘Siliconomy’, a new era of global expansion through the magic of silicon and software

At its third annual Intel Innovation event, Intel introduced a range of technologies that will bring AI everywhere and make it more accessible across all workloads, from client and edge to network and cloud.

“Representing a generational shift, AI is ushering in a new era of global expansion where computing underpins a better future for everyone,” said Pat Gelsinger, Intel CEO. “For developers, this means pushing the boundaries of what is possible.” “creates major social and commercial opportunities to create solutions to the world’s biggest challenges and improve the lives of everyone on Earth.”

Unexpected move from Intel: Meteor Lake with artificial intelligence was announced!

Unexpected move from Intel: Meteor Lake with artificial intelligence was announced!

Intel Meteor Lake series was introduced. The new processor series, focusing on artificial intelligence, will consist of Core Ultra models.

In his presentation at the opening of the event for developers, Gelsinger showed how Intel is bringing AI capabilities to hardware products and making them accessible through open and multi-architecture software solutions. Gelsinger also underlined that artificial intelligence is helping to develop the “Siliconomy”, “an economy that grows through the magic of silicon and software.” Today, the $574 billion industry fueled by silicon powers a global tech economy worth nearly $8 trillion.

New developments in silicon, packaging and multi-chiplet solutions

It starts with silicon innovation. Stating that things are going well in Intel’s five-node process development program in four years, Gelsinger announced that Intel 7 is already in high-volume production, Intel 4 is ready for production and Intel 3 will be released at the end of this year.

Gelsinger also showed off an Intel 20A wafer containing the first test chips for Intel’s Arrow Lake processor, which will enter the client PC market in 2024. The Intel 20A will be the first process node to include PowerVia, Intel’s back-side power distribution technology, and a new gate-all-around transistor design called RibbonFET. Also leveraging PowerVia and RibbonFET, the Intel 18A will launch in 2024. It is on track to be ready for production in the second half of the year.

Unexpected move from Intel: Meteor Lake with artificial intelligence was announced!

Unexpected move from Intel: Meteor Lake with artificial intelligence was announced!

Intel Meteor Lake series was introduced. The new processor series, focusing on artificial intelligence, will consist of Core Ultra models.

Another way Intel is pushing Moore’s Law forward is through new materials and new packaging technologies like glass substrates, a breakthrough Intel announced this week. When introduced later this decade, glass substrates will enable continuous scaling of transistors on a package to help meet the need for data-intensive, high-performance workloads such as artificial intelligence, enabling Moore’s Law to extend beyond 2030.

Intel also demonstrated a test chip package built with Universal Chiplet Interconnect Express (UCIe). The next wave of Moore’s Law will come even sooner than expected if multi-chiplet packages and open standards reduce friction in IP integration, Gelsinger said. The UCIe standard, created last year, will allow chiplets from different vendors to interoperate, enabling new designs for extending various AI workloads. The open specification is supported by more than 120 companies.

The test chip combined an Intel UCIe IP chip built on an Intel 3 with a Synopsys UCIe IP chip built on a TSMC N3E compute node. The chiplets were connected using embedded multi-die interconnect bridge (EMIB) advanced packaging technology. This introduction; TSMC highlights Synopsys and Intel Foundry Services’ commitment to supporting an open standards-based chiplet ecosystem with UCIe.

Improving Performance and Spreading Artificial Intelligence Everywhere

Gelsinger noted the range of AI technology available to developers on Intel platforms today and how that range will expand significantly over the next year.

Recent MLPerf AI inference performance results further reinforce Intel’s commitment to addressing every stage of the AI ​​continuum, including the largest, most demanding generative AI and large language models. The results also highlight the Intel Gaudi2 accelerator as the only viable alternative on the market for AI computing needs. Gelsinger explained that a large AI supercomputer will be built entirely on Intel Xeon processors and 4,000 Intel Gaudi2 AI hardware accelerators, and the main customer will be Stability AI.

Zhou Jingren, Alibaba Cloud’s Chief Technology Officer, said Alibaba’s 4th Generation Intel® He explained how he implemented it. Jingren noted that Intel’s technology provides “significant improvements in response times, with an average 3x acceleration.”one

Intel also previewed the next generation of Intel Xeon processors, announcing that the 5th Generation Intel

Sierra Forest with e-core efficiency, which will be released in the first half of 2024, will offer 2.5 times better rack density and 2.4 times higher performance per watt compared to 4th Generation Xeon and will have a 288-core version.

Granite Rapids with P-core performance will be released immediately after the launch of Sierra Forest and will offer 2 to 3 times higher AI performance compared to 4th Generation Xeon2.

In 2025, the next generation E-core Xeon, codenamed Clearwater Forest, will be offered on the Intel 18A process node.

Artificial intelligence computer with Intel Core Ultra processor

Artificial intelligence is also about to become more personal. Gelsinger said, “Artificial intelligence will fundamentally transform, reshape and restructure the computing experience; “It will unleash personal productivity and creativity with the power of cloud and computer working together,” he said and added: “We are starting a new era of artificial intelligence computers.”

This new computing experience comes with Intel Core Ultra processors, codenamed Meteor Lake, which includes Intel’s first integrated neural processing unit, or NPU, for power-saving AI acceleration and local inference in the PC. Gelsinger said Core Ultra will also launch on December 14. Confirmed that it will be released.

Representing a milestone in Intel’s client processor roadmap, Core Ultra is the first client chiplet design powered by Foveros’ advanced 3D packaging technology. The new processor brings discrete-level graphics performance with built-in Intel® Arc™ graphics, in addition to major advances in power-efficient performance thanks to the NPU and Intel 4 process technology.

While Gelsinger demonstrated a number of new AI computing use cases on stage, Acer’s Chief Operating Officer Jerry Kao previewed a soon-to-be-released Acer laptop powered by Core Ultra. “We are developing a suite of Acer artificial intelligence applications together with Intel teams to take advantage of the Intel Core Ultra platform,” Kao said, adding, “We are developing it with the OpenVINO toolset and artificial intelligence libraries developed together to implement the hardware.”

Giving control of silicone to developers

“Advancing AI needs to offer greater access, scalability, visibility, transparency and trust across the entire ecosystem,” Gelsinger said.

Intel announced that it will help developers achieve this future by:

  • General availability of Intel Developer Cloud: Intel Developer Cloud helps developers accelerate AI using the latest Intel hardware and software innovations, including Intel Gaudi2 processors for deep learning and 5th Gen Intel® Xeon® Scalable processors and Intel® Data Center GPU Max Series 1100 and 1550. provides access to the latest Intel hardware platforms. Developers can build, test, and optimize AI and HPC applications when using Intel Developer Cloud. They can also run small- and large-scale AI training, model optimization, and inference workloads deployed with performance and efficiency. Intel Developer Cloud is based on open software with oneAPI, an open multi-architecture, multi-vendor programming model to provide freedom from hardware choice and proprietary programming models to support accelerated computing and code reuse and portability.
  • Release 2023.1 of the Intel Distribution of the OpenVINO toolset: OpenVINO is Intel’s preferred AI inference and deployment runtime for developers on client and edge platforms. The release includes pre-trained models optimized for integration across operating systems and different cloud solutions, including many generative AI models such as Meta’s Llama 2 model. Companies such as ai.io and Fit:match demonstrated on stage how they use OpenVINO to speed up their applications: ai.io to evaluate the performance of any potential athlete; Fit:match is to revolutionize the retail and wellness industries by helping consumers find the most suitable clothing.
  • Project Strata and the development of an edge-native software platform: The platform launches in 2024 with modular building blocks and superior service and support offerings. A horizontal approach to scaling the infrastructure required for intelligent edge and hybrid AI, the platform will bring together Intel and an ecosystem of third-party vertical applications. It will also enable developers to build, deploy, run, manage, connect and secure distributed edge infrastructure and applications.

These are just the beginning of the news from Intel Innovation. Tune into Intel Newsroom on Wednesday at 9:30 a.m. PDT to hear from Intel Chief Technology Officer Greg Lavender about other ways Intel is creating AI opportunities for developers and accelerating the convergence of AI and security.

source site-28